CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - sine table

搜索资源列表

  1. 3

    0下载:
  2. 用24c02产生正弦波的程序,采用查表法,把一个正弦波分为256个点。-Generated sine wave with 24c02 program, using look-up table, to a sine wave is divided into 256 points.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:2988
    • 提供者:小熊
  1. sin_wave

    0下载:
  2. 单片机正弦波码表生成软件 内含程序代码 测试以通过-SCM software includes sine wave generated code table to pass the test program code
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:2019948
    • 提供者:电视费
  1. DDS-program

    0下载:
  2. DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据 dds 频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。-The chips mainly includes DDS frequen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:24819
    • 提供者:林春权
  1. fft_c

    0下载:
  2. 函数简介:此程序包是通用的快速傅里叶变换C语言函数,移植性强,以下部分不依 赖硬件。此程序包采用联合体的形式表示一个复数,输入为自然顺序的复 数(输入实数是可令复数虚部为0),输出为经过FFT变换的自然顺序的 复数.此程序包可在初始化时调用create_sin_tab()函数创建正弦函数表, -Function Descr iption: This package is a generic fast Fourier transform C language function
  3. 所属分类:Algorithm

    • 发布日期:2017-04-01
    • 文件大小:13067
    • 提供者:lu
  1. sin

    0下载:
  2. 功能简介: 这是一个通过读取正弦波表产生正弦波的小程序。最简单的验证方法即为:可以将DAC OUT端子的2号脚连接一个5~15K的电阻到地,通过示波器即可观察到正弦波。当然也可以采用DAC0832数据手册中的电压输出型接法进行实验。-Features: This is a sine wave generated by reading the table of sine wave applet. The simplest method of authentication is:
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:10578
    • 提供者:liu
  1. look-up-table-for-sine-wave-generation

    0下载:
  2. hi this an verilog codes-hi this is an verilog codes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:16428
    • 提供者:praha
  1. DDS-frequency-synthesizer

    0下载:
  2. 本文主要讨论了Verilog语言的基于DDS的波形发生器的设计。从设计要求入手,本文给出了DDS的详细设计过程,包括各个模块的设计思想,电路图,Verilog语言程序代码。其大致思想为通过频率控制字和相位控制字去控制正弦函数的ROM存储表的地址并对应着得到其幅度值,最终达到输出需要波形的目的。-This paper mainly discusses the design of the Verilog language, the DDS-based waveform generator. Star
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:813898
    • 提供者:任健铭
  1. SIN

    0下载:
  2. 输出规定点数的正弦表值到.txt文件,用int16表示。使用时先新建一个txt文件,在前面板中选中,点运行生成正弦值。-The sinusoidal output provisions Points table values ​ ​ to txt file, with int16 said. When you first create a new txt file, select the front panel, point run to generate sine valu
  3. 所属分类:LabView

    • 发布日期:2017-04-06
    • 文件大小:10721
    • 提供者:zhengyi
  1. Signal-waveform-generator

    0下载:
  2. 信号波形发生器,内附proteus仿真工程及VsinTab正弦波表生成器-Signal waveform generator included proteus simulation the engineering and VsinTab sine wave table generator
  3. 所属分类:SCM

    • 发布日期:2017-11-03
    • 文件大小:20590
    • 提供者:dengke
  1. chabiaozhengxianbo

    0下载:
  2. 通过建立一个表格,将正弦波的点阵存起来,然后通过IO口将一个个数送给液晶屏显示。通过该过程能将正弦波无失真显示出来。-Through the establishment of a table, the lattice of the sine wave is kept up, and then through the IO port a number sent to the LCD display.
  3. 所属分类:SCM

    • 发布日期:2017-12-08
    • 文件大小:2741
    • 提供者:吴剑英
  1. ltc2614_spi_cosx32768

    0下载:
  2. 基于xilinx spartan 3e 开发板的正弦波信号发生,通过fpga查找ROM正弦信号表,将数字信号通过spi接口写入开发板上的12位DA芯片ITC2614。通过DA转换产生正弦波。ROM深度为32768,表示一个正弦周期最多可以有32768个点。可以通过修改相位累积值和ROM表来设定输出正弦波的频率。程序本人编写和上板实测。-Sine wave signal occurred on xilinx spartan 3e development board fpga Find ROM si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:1512952
    • 提供者:李华
  1. SinData

    0下载:
  2. 生成正弦波,不需要调用sin函数,利用的查表法-Generate a sine wave, you do not need to call the sin function using table look-up method
  3. 所属分类:matlab

    • 发布日期:2017-11-14
    • 文件大小:546
    • 提供者:彭军伟
  1. xiangpintexin

    0下载:
  2. 本作品以MSP430单片机为核心, 基于DDS原理,通过查表方式输出步进量为10HZ,频率为100HZ~2KHZ的正弦波。两路被测信号通过过零比较、异或和低通滤波整流,实现了对相位差的测量,误差小于-This works with MSP430 microcontroller as the core, based on DDS principle, by look-up table output step sizes for the 10HZ, frequency of 100HZ ~ 2KHZ
  3. 所属分类:SCM

    • 发布日期:2017-11-15
    • 文件大小:652248
    • 提供者:张远进
  1. lab_3

    1下载:
  2. 3. 简单编程题:写一个程序,它在0°和90°之间每隔15°输出一行数据,打印一个表。每行中包括5个项目:角度数,以及它所对应的正弦、余弦、正切、余切函数值(注意角度与弧度间的转换)。-3 simple programming problem: Write a program that between 0 and 90 every 15 line of output data, print a table. Each row consists of five items: the number
  3. 所属分类:ELanguage

    • 发布日期:2017-04-17
    • 文件大小:231879
    • 提供者:smiliy777
  1. DDS4.mdl

    0下载:
  2. DDS(快速正交调制)生成正弦波形,利用相位累加字进行累加,查找查找表内容输出正弦数据,在通信领域应用很多,我采用的是matlab的simulink进行前期仿真-DDS (fast quadrature modulation) to generate sine wave, the use of the word to accumulate phase accumulation, content output sine lookup table lookup data in many applic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:11910
    • 提供者:lu
  1. tlv5616

    1下载:
  2. 利用MSP430F149单片机和TI的12为DA,通过查表方式输出频率可调的正弦波,修改后可产生方波、三角波和锯齿波等波形,即可实现低频DDS信号发生器的功能。-TI MSP430F149 microcontroller and use 12 as the DA, through the look-up table sine wave output frequency is adjustable, can be modified to produce a square wave, triangl
  3. 所属分类:SCM

    • 发布日期:2017-03-04
    • 文件大小:140288
    • 提供者:杨鸣
  1. DDS

    0下载:
  2. DDS信号生成模块,使用MATLAB产生查找表,可输出方波、三角波、锯齿波、正弦波-DDS signal generator module, using MATLAB to generate a lookup table can output square wave, triangle wave, sawtooth, sine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8883596
    • 提供者:苏杭
  1. DDS

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号发生器,。
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-17
    • 文件大小:4485169
    • 提供者:冯阳
  1. sinwavegen_Sim

    0下载:
  2. 数字振荡器/正弦波发生器,比较查表法和差分方程法实现信号产生的特点和差异,使用高速DAC芯片THS5651。 -Digital oscillator/sine wave generator, signal characteristics and differences to achieve comparative table method and differential equation method, using high-speed DAC chip THS5651.
  3. 所属分类:DSP program

    • 发布日期:2017-04-14
    • 文件大小:3969
    • 提供者:gy
  1. Oscillators-module

    0下载:
  2. Table of Contents: Section 1 .0 High Frequency Sine Wave Oscillators. • Frequency Control in RF Oscillators. • LC Networks. • Quartz Crystals. • Ceramic Resonators. -Table of Contents: Section 1 .0 High Frequency S
  3. 所属分类:Communication

    • 发布日期:2017-05-02
    • 文件大小:674332
    • 提供者:Mohammed Sadiq
« 1 2 3 4 56 »
搜珍网 www.dssz.com